XilinxFPGA/CPLD 組み込み 実装 設計製作 VHDL 開発
HOME 製品情報 受託開発 資料室 お問い合わせ

■ SPARTAN-3E STARTER KIT

サンプルファイルのプロジェクトを作る

2006/10/03


2.FPGAにプログラムを書き込む

(0)準備

SPARTAN-3E STARTER KITの基板とPCをUSBケーブルで接続します

USB付近のLEDが緑色に光っていることを確認します。


(1)プログラムファイル生成

[Processes] ウィンドウの [Generate Programming File] - [Generate PROM,ACE,or JTAG File]を右クリックし、 RUNを選択します。

エラーが発見されれば、その時点で停止しますが、今回はサンプルファイルなので最後まで順に実行されます。

(2)iMPACT 起動 - ウイザード開始

impact.exeが起動します。

デフォルトで 一番上の Configure devices・・・が選択されているはずです。

[Finish]をクリックします。




デバイスを自動的に検知します。

SPARTAN-3E STARTER KITでは 

XC3S500E(Spartan3E) → XCF04S (コンフィギュレーションROM) →XC3C64a(Coolrunner )がチェーンにいます。

自動的に XC3S500Eに書き込むファイル選択のダイアログがでます。今回生成された left_right_led.bit を選択します。

このようなダイアログがでてきますので[OK]をクリックします。




次に順に 同様のファイル選択ダイアログが出てきて、XCF04S,XC2C64A に書き込むファイルを順にたずねてきます。 これらは [Bypass]を押します。

XC3S500E :  left_right_led.bit

XCF04S : bypass

XC3C64A : bypass

となっているはずです。


(2)ダウンロード

XC3S500Eのアイコンを右クリックするとでてくる、ポップアップメニューの中からProgramを選択します。

緑色になっているのは選択されていることを示します。

あるいはxC3S500Eをクリックして緑色にしてから iMPACT processesのなかにある Programを選択します。



OKを押します。




書き込み中の状態を表示するダイアログが現れます。




書き込みに成功すると Program Succeeded という表示が数秒間現れます。

FPGAに書き込まれたので、動作が変わりました。

ここではFPGAに書き込んだだけなので、一度電源を落とすと、次に電源投入したときや PROGボタンを押したときには、FlashROMに書き込まれている内容をロードします。

次>3.PROMにプログラムを書き込む

[TOP]


HOME 製品情報 受託開発 資料室 お問い合わせ